diff --git a/src/manager/management_unit_pkg.vhd b/src/manager/management_unit_pkg.vhd index e79f167..f61236b 100644 --- a/src/manager/management_unit_pkg.vhd +++ b/src/manager/management_unit_pkg.vhd @@ -7,7 +7,7 @@ use gan_ganimede.io_types.all; package management_types is constant WORD_SIZE : natural := 32; -- Amount to right shift addres to convert e.g 0x00000004 to 0x00000001 for 32-bit words - constant address_shift : natural := natural(FLOOR(LOG2(real(WORD_SIZE) / real(8)))); + constant address_shift : natural := natural(CEIL(LOG2(real(WORD_SIZE) / real(8)))); subtype manager_word_t is std_logic_vector(WORD_SIZE - 1 downto 0); constant empty_word : std_logic_vector(WORD_SIZE - 1 downto 0) := (others => '0'); constant mem_words : natural := 64; diff --git a/src/vhdl_ls.toml b/src/vhdl_ls.toml index d8238fc..d47a86f 100644 --- a/src/vhdl_ls.toml +++ b/src/vhdl_ls.toml @@ -23,7 +23,7 @@ grlib.files = [ 'grlib-com-nx-2024.4-b4295/lib/grlib/**/*.vhd', ] techmap.files = [ - 'grlib-com-nx-2024.4-b4295/lib/techmap/gencomp/**/*.vhd', + 'grlib-com-nx-2024.4-b4295/lib/techmap/**/*.vhd', ] gaisler.files = [ 'grlib-com-nx-2024.4-b4295/lib/gaisler/**/*.vhd',