From a2917a3b04190e9879c5cc870a79e1dec9cb9eb2 Mon Sep 17 00:00:00 2001 From: Adam Date: Tue, 22 Apr 2025 14:36:27 +0200 Subject: [PATCH] Dummy ip works --- src/dummy_ip/dummy_ip.vhd | 15 +++++++++------ src/ganimede/ganimede.vhd | 7 +++++++ 2 files changed, 16 insertions(+), 6 deletions(-) diff --git a/src/dummy_ip/dummy_ip.vhd b/src/dummy_ip/dummy_ip.vhd index 41b4dee..d9aaeea 100644 --- a/src/dummy_ip/dummy_ip.vhd +++ b/src/dummy_ip/dummy_ip.vhd @@ -30,13 +30,16 @@ begin valid_out_signal <= '0'; ready_out <= '1'; else - if falling_edge(clk) and valid_in = '1'then + if rising_edge(clk) then + if valid_in = '1' then + valid_out_signal <= '1'; + ready_out <= '0'; + elsif valid_out_signal = '1' and ready_in = '1' then + valid_out_signal <= '0'; + ready_out <= '1'; + end if; + elsif falling_edge(clk)then incremented_in <= std_logic_vector(unsigned(data_in) + 1); - valid_out_signal <= '1'; - ready_out <= '0'; - elsif rising_edge(clk) and valid_out_signal = '1' and ready_in = '1' then - valid_out_signal <= '0'; - ready_out <= '1'; end if; end if; end process seq_proc; diff --git a/src/ganimede/ganimede.vhd b/src/ganimede/ganimede.vhd index 24d38d1..ee9318f 100644 --- a/src/ganimede/ganimede.vhd +++ b/src/ganimede/ganimede.vhd @@ -7,6 +7,7 @@ use gan_socbridge.socbridge_driver_pkg.all; library gan_controller; library gan_manager; use gan_manager.management_types.all; +library gan_buffer; entity ganimede_toplevel is port ( @@ -75,6 +76,9 @@ begin ); fifo_buffer_to_ip_inst : entity gan_buffer.fifo_buffer + generic map ( + buffer_size => 1024 + ) port map( in_clk => socbridge_clk, out_clk => clk, @@ -88,6 +92,9 @@ begin ); fifo_buffer_from_ip_inst : entity gan_buffer.fifo_buffer + generic map ( + buffer_size => 1024 + ) port map( in_clk => clk, out_clk => socbridge_clk,