From e55a2d554fba90d72a375a54655852523f9c5981 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Erik=20=C3=96rtenberg?= Date: Wed, 28 May 2025 17:05:09 +0200 Subject: [PATCH] removed unnecessary manager registers --- src/manager/management_unit_pkg.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/manager/management_unit_pkg.vhd b/src/manager/management_unit_pkg.vhd index 3de4e91..73a1ec4 100644 --- a/src/manager/management_unit_pkg.vhd +++ b/src/manager/management_unit_pkg.vhd @@ -16,7 +16,7 @@ package management_types is reserved: std_logic_vector(WORD_SIZE - 1 - (22 + 4 + 3) downto 0); end record manager_word_t; constant empty_word : std_logic_vector(WORD_SIZE - 1 downto 0) := (others => '0'); - constant mem_words : natural := 64; + constant mem_words : natural := 2; constant address_mask : std_logic_vector(WORD_SIZE - 1 downto 0) := std_logic_vector(to_unsigned(mem_words - 1, 32)); type memory_t is array (0 to mem_words - 1) of manager_word_t;