From e87c54d4ef0a57572fe5609ad01dfc4b7ed4cea8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Erik=20=C3=96rtenberg?= Date: Thu, 20 Feb 2025 14:24:58 +0100 Subject: [PATCH] added initial driver file --- src/socbridge_driver.vhd | 24 ++++++++++++++++++++++++ 1 file changed, 24 insertions(+) create mode 100644 src/socbridge_driver.vhd diff --git a/src/socbridge_driver.vhd b/src/socbridge_driver.vhd new file mode 100644 index 0000000..160db9e --- /dev/null +++ b/src/socbridge_driver.vhd @@ -0,0 +1,24 @@ +library IEEE; +use IEEE.std_logic_1164.all; +library work; +use work.io_types.all; + + +entity socbridge_driver is + port( + clk : in std_logic; + reset : in std_logic; + ext_in : in ext_socbridge_in_t; + ext_out : out ext_socbridge_out_t; + int_in : out int_socbridge_in_t; + int_out : in int_socbridge_out_t + ); +end entity socbridge_driver; + +architecture rtl of socbridge_driver is + + +begin + ext_out <= (payload => (others => '0'), control => (others => '0')); + int_in <= (payload => (others => '0'), write_enable_in => '0', is_full_out =>'0'); +end architecture rtl;