updated ganimede toplevel to support new socbridge stuff
This commit is contained in:
parent
b1eee9ce1e
commit
23ede53056
@ -33,6 +33,7 @@ architecture rtl of ganimede_toplevel is
|
||||
signal socbridge_clk : std_logic;
|
||||
signal ganimede_to_ip_reset : std_logic;
|
||||
|
||||
constant buf_size :integer := 2*1024;
|
||||
--signal gan_socbridge_WE_in : std_logic;
|
||||
--signal gan_socbridge_WE_out : std_logic;
|
||||
--signal gan_socbridge_is_full_in : std_logic;
|
||||
@ -45,6 +46,9 @@ begin
|
||||
ganimede_to_ip_reset <= rst or ip_to_ganimede.socbridge.flush;
|
||||
--- DRIVER INSTANTIATION ---
|
||||
socbridge_driver_inst: entity gan_socbridge.socbridge_driver
|
||||
generic map(
|
||||
BUFFER_SIZE => buf_size
|
||||
)
|
||||
port map(
|
||||
clk => clk,
|
||||
socbridge_clk => socbridge_clk,
|
||||
@ -81,7 +85,7 @@ begin
|
||||
|
||||
fifo_buffer_to_ip_inst : entity gan_buffer.fifo_buffer
|
||||
generic map (
|
||||
buffer_size => 2*1024
|
||||
buffer_size => buf_size
|
||||
--tech => 60
|
||||
)
|
||||
port map(
|
||||
@ -93,12 +97,13 @@ begin
|
||||
valid_in => socbridge_driver_to_buffer.valid,
|
||||
valid_out => ganimede_to_ip.socbridge.valid,
|
||||
data_in => socbridge_driver_to_buffer.data,
|
||||
data_out => ganimede_to_ip.socbridge.data
|
||||
data_out => ganimede_to_ip.socbridge.data,
|
||||
used_slots => ip_to_socbridge_driver.read_fifo.used_slots
|
||||
);
|
||||
|
||||
fifo_buffer_from_ip_inst : entity gan_buffer.fifo_buffer
|
||||
generic map (
|
||||
buffer_size => 2*1024
|
||||
buffer_size => buf_size
|
||||
-- tech => 60
|
||||
)
|
||||
port map(
|
||||
|
||||
@ -73,6 +73,7 @@ package io_types is
|
||||
|
||||
type ip_to_socbridge_driver_t is record
|
||||
fifo: fifo_interface_t;
|
||||
read_fifo: fifo_interface_t;
|
||||
flush: std_logic;
|
||||
end record ip_to_socbridge_driver_t;
|
||||
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user