minor fixes
This commit is contained in:
parent
44018d5827
commit
56ab5e090a
@ -7,7 +7,7 @@ use gan_ganimede.io_types.all;
|
|||||||
package management_types is
|
package management_types is
|
||||||
constant WORD_SIZE : natural := 32;
|
constant WORD_SIZE : natural := 32;
|
||||||
-- Amount to right shift addres to convert e.g 0x00000004 to 0x00000001 for 32-bit words
|
-- Amount to right shift addres to convert e.g 0x00000004 to 0x00000001 for 32-bit words
|
||||||
constant address_shift : natural := natural(FLOOR(LOG2(real(WORD_SIZE) / real(8))));
|
constant address_shift : natural := natural(CEIL(LOG2(real(WORD_SIZE) / real(8))));
|
||||||
subtype manager_word_t is std_logic_vector(WORD_SIZE - 1 downto 0);
|
subtype manager_word_t is std_logic_vector(WORD_SIZE - 1 downto 0);
|
||||||
constant empty_word : std_logic_vector(WORD_SIZE - 1 downto 0) := (others => '0');
|
constant empty_word : std_logic_vector(WORD_SIZE - 1 downto 0) := (others => '0');
|
||||||
constant mem_words : natural := 64;
|
constant mem_words : natural := 64;
|
||||||
|
|||||||
@ -23,7 +23,7 @@ grlib.files = [
|
|||||||
'grlib-com-nx-2024.4-b4295/lib/grlib/**/*.vhd',
|
'grlib-com-nx-2024.4-b4295/lib/grlib/**/*.vhd',
|
||||||
]
|
]
|
||||||
techmap.files = [
|
techmap.files = [
|
||||||
'grlib-com-nx-2024.4-b4295/lib/techmap/gencomp/**/*.vhd',
|
'grlib-com-nx-2024.4-b4295/lib/techmap/**/*.vhd',
|
||||||
]
|
]
|
||||||
gaisler.files = [
|
gaisler.files = [
|
||||||
'grlib-com-nx-2024.4-b4295/lib/gaisler/**/*.vhd',
|
'grlib-com-nx-2024.4-b4295/lib/gaisler/**/*.vhd',
|
||||||
|
|||||||
Loading…
x
Reference in New Issue
Block a user