Dummy ip works
This commit is contained in:
parent
c44c153bb3
commit
a2917a3b04
@ -30,14 +30,17 @@ begin
|
||||
valid_out_signal <= '0';
|
||||
ready_out <= '1';
|
||||
else
|
||||
if falling_edge(clk) and valid_in = '1'then
|
||||
incremented_in <= std_logic_vector(unsigned(data_in) + 1);
|
||||
if rising_edge(clk) then
|
||||
if valid_in = '1' then
|
||||
valid_out_signal <= '1';
|
||||
ready_out <= '0';
|
||||
elsif rising_edge(clk) and valid_out_signal = '1' and ready_in = '1' then
|
||||
elsif valid_out_signal = '1' and ready_in = '1' then
|
||||
valid_out_signal <= '0';
|
||||
ready_out <= '1';
|
||||
end if;
|
||||
elsif falling_edge(clk)then
|
||||
incremented_in <= std_logic_vector(unsigned(data_in) + 1);
|
||||
end if;
|
||||
end if;
|
||||
end process seq_proc;
|
||||
|
||||
|
||||
@ -7,6 +7,7 @@ use gan_socbridge.socbridge_driver_pkg.all;
|
||||
library gan_controller;
|
||||
library gan_manager;
|
||||
use gan_manager.management_types.all;
|
||||
library gan_buffer;
|
||||
|
||||
entity ganimede_toplevel is
|
||||
port (
|
||||
@ -75,6 +76,9 @@ begin
|
||||
);
|
||||
|
||||
fifo_buffer_to_ip_inst : entity gan_buffer.fifo_buffer
|
||||
generic map (
|
||||
buffer_size => 1024
|
||||
)
|
||||
port map(
|
||||
in_clk => socbridge_clk,
|
||||
out_clk => clk,
|
||||
@ -88,6 +92,9 @@ begin
|
||||
);
|
||||
|
||||
fifo_buffer_from_ip_inst : entity gan_buffer.fifo_buffer
|
||||
generic map (
|
||||
buffer_size => 1024
|
||||
)
|
||||
port map(
|
||||
in_clk => clk,
|
||||
out_clk => socbridge_clk,
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user