removed unnecessary manager registers
This commit is contained in:
parent
5824ea5d9a
commit
e55a2d554f
@ -16,7 +16,7 @@ package management_types is
|
||||
reserved: std_logic_vector(WORD_SIZE - 1 - (22 + 4 + 3) downto 0);
|
||||
end record manager_word_t;
|
||||
constant empty_word : std_logic_vector(WORD_SIZE - 1 downto 0) := (others => '0');
|
||||
constant mem_words : natural := 64;
|
||||
constant mem_words : natural := 2;
|
||||
constant address_mask : std_logic_vector(WORD_SIZE - 1 downto 0) := std_logic_vector(to_unsigned(mem_words - 1, 32));
|
||||
type memory_t is array (0 to mem_words - 1) of manager_word_t;
|
||||
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user