added initial driver file

This commit is contained in:
Erik Örtenberg 2025-02-20 14:24:58 +01:00
parent f8cba47037
commit e87c54d4ef

24
src/socbridge_driver.vhd Normal file
View File

@ -0,0 +1,24 @@
library IEEE;
use IEEE.std_logic_1164.all;
library work;
use work.io_types.all;
entity socbridge_driver is
port(
clk : in std_logic;
reset : in std_logic;
ext_in : in ext_socbridge_in_t;
ext_out : out ext_socbridge_out_t;
int_in : out int_socbridge_in_t;
int_out : in int_socbridge_out_t
);
end entity socbridge_driver;
architecture rtl of socbridge_driver is
begin
ext_out <= (payload => (others => '0'), control => (others => '0'));
int_in <= (payload => (others => '0'), write_enable_in => '0', is_full_out =>'0');
end architecture rtl;